-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA256 Format: 1.8 Date: Sun, 05 Jun 2011 19:15:33 +0200 Source: lua-expat Binary: liblua5.1-expat0 liblua5.1-expat-dev Architecture: i386 Version: 1.2.0-0squeeze1 Distribution: squeeze Urgency: low Maintainer: i386 Build Daemon Changed-By: Enrico Tassi Description: liblua5.1-expat-dev - libexpat development files for the Lua language version 5.1 liblua5.1-expat0 - libexpat bindings for the Lua language version 5.1 Closes: 629225 Changes: lua-expat (1.2.0-0squeeze1) stable; urgency=low . * new upstream release adding APIs to prevent the “billion laughs” denial-of-service attack (Closes: #629225) Checksums-Sha1: 768770b1a27d8d749e6bfa0770e2766d94a2d3af 11302 liblua5.1-expat0_1.2.0-0squeeze1_i386.deb b6693ea15741c195426f32d2c6f244dab669f40c 27714 liblua5.1-expat-dev_1.2.0-0squeeze1_i386.deb Checksums-Sha256: 141bd39aff54b8c7053e3fdb14b0348c182c0a4af92a2dfa3bd3d1dd568cd863 11302 liblua5.1-expat0_1.2.0-0squeeze1_i386.deb cf46e00a61962cd5c7d21b827ccdc66fbd326612de2324447851f85a96d49342 27714 liblua5.1-expat-dev_1.2.0-0squeeze1_i386.deb Files: 323346d0e0a5a8637870c3b38306d9e7 11302 interpreters optional liblua5.1-expat0_1.2.0-0squeeze1_i386.deb 11c5ee6daeabe81674394162e0d44c2b 27714 libdevel optional liblua5.1-expat-dev_1.2.0-0squeeze1_i386.deb -----BEGIN PGP SIGNATURE----- Version: GnuPG v1.4.10 (GNU/Linux) iQIcBAEBCAAGBQJN7uXLAAoJEHY75GREw+rHQ7oQAIzpZPhce/+PY30R37WvnY5l ammDkG+z3SBoI8bRGpXCxagTYEq4F2jJqNuj6YlFwrvqbfqLhT19VApA13FlLkNn KuzEwH7J8DS5ys3syCuwtlqk6IK9qp32+orhTrdmiIYTOeykOJDqJk/09RY00BYy 7sds8B8SCicvFDcXoCtPg+bI6YKHve+74qIr8HQsKOWL2Awk9v3Y8nUEtyrCR6KE 16SE01MOZbS6oYjwS+QPapvCna8cJGIOJV25rH0i280Nh1usZs4im1u8urscT9sN jscwnRrmhbpafNR3SEJR1kDvqvxIr0JOt7X4WACUQEiaxz7G6dtmLlCYlCEpi+zX cDibHfamtg1eXI8wNOe2p25orqp91GQKY5XM80fgPTgadci/yJcuAmh6Uia1Snct 4Dn02oBiHBeQZcwRD/KBnTYvVLW7+9ZCQ60NcWBen9sfD/QPb03cVK+M2fFVe89T D20II5epG0mzkcus8NRRh+IrknOWNJMtY/jcO7qx0C+GTj0DciMdLMJGBBqKXCnY SdQwzzlghNu1U2lbFvCmPPaZR7CjVlgfAH2V0ANw1W9LfsyTVTBA3qpDLuyHePNA 8JQpUnolNIgoIvpWcriaQ5HCxJzfZOaVHvtIPSJjlM4+mUnMpAlGy8v8JGlfg/tT X/M7LR2kwK2g4Jshm1JG =VEnl -----END PGP SIGNATURE-----